NEWS
PROCESS NEWS A Newsletter from Oxford Instruments Plasma Technology Summer 2018
Plasma solutions for biomedical device applications
High Volume Semiconductor Device Manufacturers choose Oxford Instruments for “Lab to Fab” Solutions
Writing a record- breaking logo – only 100 th of a millimetre in size!
...and more articles in this issue
PLASMA.OXINST.COM
ROCESS
Welcome
IN THIS ISSUE:
“Changing the Art of the Possible” is the company philosophy here at Oxford Instruments. These are not just words, you can see this impact every day. Items such as smart phones, wearable technology and electric vehicles, things we only imagined 10 years ago, are now everyday items and Oxford Instruments has played its part in all of them. Today’s imagined technology such as abundant clean energy will be realised by innovating to novel, smaller, faster and more energy efficient devices. These devices will increasingly rely on more accurate manipulation of matter with the eventual culmination of building devices at an atomic scale. Techniques such as 2D material growth, atomic layer deposition (ALD) and atomic layer etch (ALE) are becoming mainstream and allowing atomic precision on a production scale. Oxford Instruments is in a unique position; in can offer all these solutions on a single cluster platform. How these amazing techniques can be utilised to provide new device solutions is explored in this edition. We also have articles on how plasma can enable biomedical devices, InP lasers and even a micron-sized logo. We hope you enjoy Process News - we know our customers are doing amazing things with our systems around the world and Process News is here to share what they are achieving, and howwe can help you achieve your solutions. If you have any articles that you would like to submit to the next edition of PROCESS NEWS please send them to: plasma-experts@oxinst.com
2. Welcome note 3. Aalto results 3. Wafer processing service
4. Atomic Layer Deposition of HfO2 6. Atomic Layer etching What for? 6. NEW improved process for 2D MoS 2
fabrication
using Raman metrology 7. A Cleanroom fit for business 8. Integrated nano-opto-electro-mechanical sensors for spectrometry applications 10. SEMICONWest 2018: Beyond Smart 12. Ion-beam Nano patterning: accurate and versatile 13. High Volume Semiconductor Device Manufacturers choose Oxford Instruments Plasma Technology for “Lab to Fab” Solutions 14. Materials fabrication processes for biomedical applications 15. Profile control for InP-related III-V quaternary semiconductors in inductively coupled plasma dry etch processes 16. White Papers 17. Webinars 18. Customer Support Portfolio 19. Oxford Instruments Process Solutions Enable Next Generation Datacomms with Ultrafast Photonics Devices Facilitated at AMO GmbH
2 Summer 2018
EWS Record-breaking tiny centenary celebration logo only a hundredth of a millimetre in size
To honour Finland’s centenary celebration, a silicon structure, based on the official logo of the centennial, was created at Aalto University using an Oxford Instruments PlasmaPro 100 ICP-RIE system. Doctor of Nanotechnology Nikolai Chekurov created the structure in Micronova’s cleanroom in Espoo. His method combined focused ion beams with cryogenic deep reactive ion etching, where the target is first bombed with heavy ions and then etched with inductively coupled plasma reactive-ion etching (ICP-RIE). The logo, which is only one-hundredth of a millimetre in size, is so tiny that it can barely be seen with an optical microscope. The logo’s smallest structures are under a micrometre, i.e. one-thousandth of a millimetre, in size. ‘Naturally, this method has other practical applications as well. It can be used to create different microstructures that can be utilised in many ways, for example in photonics, measuring small amounts of liquids, or as mechanical microsensors.’ Wafer Processing Service Our wafer processing service provides access to our full range of process solutions for thin film deposition and etches. Offering this service allows us to support our customers from initial proof of concept through to pilot production. When ready, our customers can decide to continue with the processing service or to purchase their own equipment. Our wafer process service will continue to support our customers during the build and installation period of their new system. For further information contact us at plasma@oxinst.com Images & article courtesy of Nikolai Chekurov/Micronova, Aalto University
Summer 2018 3
Atomic Layer Deposition of HfO 2 : Recent Process Developments ROCESS
Authors : Aileen O’Mahony, Agnieszka Kurek, Harm Knoops, Tom Miller, Owain Thomas, and Robert Gunn, Oxford Instruments Plasma Technology
deposited by thermal ALD with H 2 O, or plasma enhanced ALD (PEALD) with O 2 plasma. A process optimisation study was undertaken with HfO 2 films deposited using tetrakis(dimethylamido)hafnium Hf(NMe 2 ) 4 , (TDMAH) as a comparison to the existing HfO 2 process of record which uses tetrakis(ethylmethylamido) hafnium Hf(EtMe) 4 , (TEMAH). TDMAH is widely available from precursor supply companies. It has a higher TDMAH to be delivered by vapour draw rather than requiring delivery assistance by argon bubbling (as required for TEMAH). A 0.4 s TDMAH dose was required for surface saturation (Figure 1) with significantly improved HfO 2 film uniformity across a 200 mm substrate (2.7%) for the TDMAH PEALD process compared to the TEMAH PEALD process. vapour pressure compared to TEMAH 5,10 which allowed the
layers or nanolaminate stacks on high aspect ratio structures. Metal alkylamides are widely used ALD precursors 5 as they are highly volatile, and reactive, with increased growth rates compared to halides (e.g. HfCl 4 , WF 6 ). Alkylamides do not generate corrosive by-products, such as HCl or HF, and are suitable for deposition at lower temperatures but are prone to decomposition at deposition temperatures ≥300°C 6 . Cyclopentadienylalkylamide 7,8 precursors have increased thermal stability allowing for deposition at higher temperatures but without the presence of a strongly oxidising co- reactant, lower GPC processes are reported. Improvements in HfO 2 ALD processing with alkylamide and cyclopentadienylalkylamide precursors was presented based on work completed at OIPT, and highlights from a recent publication by A. Sharma, Eindhoven University of Technology 9 . In these studies, Oxford Instruments’ FlexAL ALD systems were used with HfO 2 films
Oxford Instruments Plasma Technology (OIPT) is constantly innovating to help our customers get the best performance from their devices. Aileen O’Mahony discusses howwe are working with different pre-cursors for HfO 2 making sure the film quality and growth per cycle (GPC) are optimised. HfO 2 deposited by atomic layer deposition (ALD) is utilised in a number of key technological applications. In 2007, Intel introduced a hafnium-based high-k dielectric layer deposited by ALD into high-volume manufacturing for its 45 nm technology node transistors 1 . HfO 2 is used in memory devices such as DRAM 2 , and also ferroelectric-RAM technology due to the ferroelectric properties of both pure HfO 2 3 and doped HfO 2 layers 3,4 (e.g. HfO 2 doped with SiO 2 , Al 2 O 3 , ZrO 2 , La 2 O 3 ). ALD is the ideal method for the deposition of thin, conformal, high-quality layers, as well as allowing the processing flexibility for deposition of doped
Figure 1: Saturation of TDMAH precursor dose.
4 Summer 2018
EWS
A wide ALD window of 150-325°C resulted for both the thermal ALD and PEALD of HfO 2 ALD processes (Figure 2(a)). The higher GPC for the PEALD process (~1.2 Å/ cycle) was attributed to the highly reactive plasma species. The thermal ALD process showed a lower GPC compared to the PEALD process (as expected) with further reduced GPC with increasing deposition temperature as a result of removal of hydroxyl groups on the substrate surface at higher substrate temperatures (Figure 2(a)).11 Linear ALD growth was observed for both the thermal and plasma ALD HfO 2 processes (Figure 2(b)). HfO 2 films deposited with TDMAH yielded analogous electrical performance to metal-insulator-metal structures fabricated with an HfO 2 layer deposited by TEMAH/O 2 plasma. Sharma et al . 9 report the PEALD of HfO 2 using a cyclopentadienylalkylamide precursor (CpHf(NMe 2 ) 3 , HyALD™) 12 and O 2 plasma with a high GPC value of 1.1 Å/cycle achieved. The HyALD precursor yields deposition of HfO 2 over a wide temperature range of 150-400°C which is advantageous when compared to other Hf ALD precursors which have lower
temperature, narrower ALD windows. Low carbon and hydrogen contamination was measured (below 2 and 3.5 at. %, respectively) for HfO 2 films deposited across the entire ALD window (150-400°C) with fully crystalline HfO 2 films deposited at 400°C. In these studies, HfO 2 ALD processes were developed with 2 different precursors resulting in high growth rates, over a broad deposition temperature range. TDMAH has increased vapour pressure and yielded improved HfO 2 film uniformity for both thermal and plasma ALD processes when compared to the TEMAH HfO 2 process. The use of the cyclopentadienylalkylamide HyALD precursor increased the deposition window to 400°C, with fully crystalline HfO 2 deposited. Based on the deposition parameters, substrate and the characteristics required of the HfO 2 layer, the appropriate hafnium ALD precursor can be selected. The continued development of precursors with improved reactivity, increased stability, and higher volatility is essential to access new ALD applications for both research and industry customers.
Figure 2: (a) ALD temperature window for thermal and plasma ALD HfO 2
processes.
(b) Linear ALD growth of HfO 2
by thermal ALD and ALD
Acknowledgements: University of Glasgow for device processing and characterisation, and Eindhoven University of Technology. References: 1. www.intel.com 2. Wilk et al., J. Appl. Phys. 89, 5243 (2001). 3. Müller et al., Nano Lett. 12 (8), 4318-4323 (2012).
8. Niinistö et al., J. Cryst. Growth, 312, 245 (2010). 9. Sharma et al., J. Vac. Soc. Technol. A, 35(1) (2017). 10. Haukka, S. ECS Transactions, 3 (15) 15-26 (2007). 11. Puurunen, J. Appl. Phys. 97, 121301 (2005); 12. Air Liquide: www.electronics-airliquide.com/our-brands/aloha
4. Lomenzo et al., J. Vac. Sci. Technol. B, 32(3), 03D123 (2014). 5. Hausmann et al., Chem. Mater. 14, 4350-4358 (2002). 6. Kukli et al., Thin Solid Films 416, 7. 2 (2002).Consiglio et al., J. Vac. Sci. Technol. A 30, 01A119 (2012).
Summer 2018 5
Atomic layer etching: What for? ROCESS
Authors: Dr Mike Cooke and Andy Goodyear, Oxford Instruments Plasma Technology
Atomic layer etching promises to improve the quality of GaN-based HEMTs and eradicate the damage associated with high etching rates ALL THE LATEST MARKET TRENDS from the Internet- of-Things to mobile technology and driverless cars have a common thread: hi tech on the move. This is propelling the industry along a well-trodden path of ever greater functionality, in a smaller and smaller space, while consuming less and less energy. Progress on all these fronts has hinged on the growth of ever thinner films and smaller device features. To do this, there is a need to create and control materials with ever increasing accuracy. For thin-film deposition, a technology that delivers the goods is atomic layer deposition (ALD). In comparison, conventional plasma etching, which is based on a continuous ‘analogue’ process, fails to offer the same degree of control. But atomic layer etching (ALE) could change all that and propel plasma etching into its ‘digital’ age.
Now published in the Compound Semiconductor (CS) Volume 24 issue 3. Read the full article: plasma.oxinst.com/ALE
NEW improved process for 2D MoS 2 using Raman metrology Authors: Elisha Mercado, Jonathan Moffat, Oxford Instruments Asylum Research Dr Mike Cooke, Dr Ravi S Sundaram, Oxford Instruments Plasma Technology fabrication
two-dimensional (2D) materials have spurred research into large area fabrication through vapour deposition and etching. However, robust and efficient non destructive characterization techniques are required in order to reliably produce good quality uniform layers. Here, we present a Raman spectroscopy approach for characterization of the quality and a systematic study of the impact of process parameters for the production of 2D MoS 2 layers. We also present the application of this characterization technique for controlled layer by layer etching of multilayer MoS 2 . Read the full article: bit.ly/2w9PKey
Scientists at Plasma Technology have studied the process of depositing two dimensional (2D) layers of Molybdenum disulfide (MoS 2 ) demonstrating quality control using Raman spectroscopy. In a paper published in IOP Journal of Physics D: Applied Physics, we take an in depth look at using the defect activated Raman signature, to study the influence of various process parameters as well as to improve film quality. We also show that our atomic layer etching process for MoS 2 does not induce defects in the underlying layers. Promising electronic and optoelectronic properties of
6 Summer 2018
A Cleanroom Fit for Business
Our state of the art cleanroom is staffed by highly skilled engineers allowing customers to evaluate the performance of our process technology and equipment. Many customers either visit the lab to conduct on site trials or send sample substrates to trial and test. The ultra controlled environment is also a place where we develop new processes and system technologies to support existing and emerging markets. Our applications team and cleanroom are fundamental to the success of the business. It’s an area we invest in to maintain and enhance our capabilities, supporting our customer requirements. We do this by bringing new customer demonstration capacity and new hardware and process capabilities into the cleanroom. We also have the correct metrology installed, providing the data that our customers need to achieve their application. Optoelectronics, Discrete Devices and MEMs/Sensors, we are also seeing an increasing trend towards specialist production markets and atomic scale processing. These two areas put their own demands on the cleanroom: As we continue to support our traditional markets of
Atomic Scale Processing: We are seeing an increasing demand from customers for atomic layer deposition for both dielectric and conductive films deposited using plasma or thermal depending on the film requirements. Atomic layer etch is gaining traction especially for etching of thin films in devices that have requirement to stop at a specific etch depth in a material and/or low plasma damage of sensitive surfaces exposed during the process. Much interest is shown in graphene which we have deposited using our Nanofab for several years. We have developed and are seeing increasing demand for MoS 2 and hBN films and we are actively developing new 2D materials and the deposition of heterostructures of 2D materials.
Specialist Production: Production systems typically require cassette to cassette handlers with marathon runs during development to check for repeatability of critical parameters including added particles to the wafer. With the rapidly evolving nature of our industry we are continually developing the suite of systems we offer, and our cleanroom keeps pace with this demand to ensure we have a showcase for our customers.
Summer 2018 7
I ntegrated nano-opto-electro-mechanical sensors for spectrometry applications ROCESS
Authors: Ž. Zobenica, M. Petruzzella, R.W. van der Heijden and A. Fiore Eindhoven University of Technology
have been doped so that an external voltage can be applied and used to bring the membranes closer together through an electrostatic force, as done often in MEMS (micro- electromechanical systems) devices. The resolution of the spectrometer is determined by the linewidth (Q-factor) of the PhC cavity, which depends on the design of the cavity (see inset Fig. 1a) and on imperfections of the fabrication process. One process step which is particularly important is the Cl 2 - based deep etch as performed by the Oxford Instruments Plasmalab 100 ICP system, where the hole pattern is transferred from the SiNx mask (deposited in an Oxford Instruments Plasmalab System 100 PECVD) into the GaAs material. Here, the smooth PhC hole edges and the vertical hole profile that were achieved (as shown in Fig. 1b) translate into cavity linewidths as narrow as 80pm resulting in a Q factor of ~1.8∙10 4 .
highly accurate measurements can therefore only be made at relatively large distances (tens of centimetres). The newly reported sensors 1,2 , use an entirely different way to make such precise measurements by using a photonic crystal (PhC) cavity, which traps light in a region of a few micrometres within a GaAs membrane layer (see Fig. 1a). In this membrane the captured light generates a very small electrical current, which is detected. The PhC cavity acts as a filter, because it traps only a specific light wavelength, namely that which corresponds to the resonant wavelength of the cavity – i.e. the wavelength for which light can “fit” in the cavity. To measure light intensity over a certain wavelength range, the resonant wavelength is changed by modifying the cavity. To this aim, two PhC membranes are placed close above each other, so that they form a cavity together. If the distance between them changes, the resonant wavelength shifts too. For this purpose the membranes
Using your phone to check the cleanliness of the air, whether food is fresh or a tissue sample is malignant. This may become possible in the future using a new spectrometer device small enough that it can easily be incorporated in a mobile phone. The sensor developed at Eindhoven University of Technology is as precise as the normal table-top spectrometer models used in labs around the world. These results were presented on the 20th of December in Nature Communications. Spectrometry, the analysis of light, has a very wide range of applications. All organic and inorganic materials have their wavelengths, and can thus be recognized by spectrometry. But accurate spectrometers are large since they separate the light into different colours (wavelengths), which are then measured separately. Just after the light is separated, the lights beams, which have different wavelengths, still overlap each other; own ‘“fingerprint” in terms of light absorption and reflection at specific
Figure 1. a) SEM image of the double membrane photonic crystal sensor. Inset: Photonic crystal cavity. b) False-colored SEM image of the device cross-section after ICP etching.
8 Summer 2018
FlexAL or OpAL system. For the entire duration of the process, O 2 gas is maintained at a pressure of 7.5 x 10-3 mbar. The deposition is performed close to room- temperature at 60°C and consists of 100 cycles where in each cycle the following steps are repeated: (i) dosing precursor TMA (30 ms); (ii) purging for 3 s; (iii) O 2 plasma for 3 s at a power of 100 W; (iv) purging for 3 s 2 . Due to the high conformality of the ALD process, this dielectric layer is deposited conformally over all the open surfaces of the device, including the bottom and the top surfaces of both membranes, as illustrated in Fig. 2b. We believe that these results not only represent a crucial step towards real-world applications of this nano-opto- electro-mechanical sensor, but also open the way to novel devices that exploit the intrinsic non-linearity of the pull-in effect to achieve digital optical switching and even mechanical memories 2 . 1. Ž. Zobenica, R. W. van der Heijden, M. Petruzzella, F. Pagliano, R. Leijssen, T. Xia, L. Midolo, M. Cotrufo, Y. Cho, F. W. M. van Otten, E. Verhagen, and A. Fiore, “Integrated nano-opto-electro- mechanical sensor for spectrometry and nanometrology,” Nat. Commun., vol. 8, no. 1, p. 2216, Dec. 2017. 2. M. Petruzzella, Ž. Zobenica, M. Cotrufo, V. Zardetto, A. Mameli, F. Pagliano, S. Koelling, F. W. M. van Otten, F. Roozeboom, W. M. M. Kessels, R. W. van der Heijden, and A. Fiore, “Anti- stiction coating for mechanically tunable photonic crystal devices,” Opt. Express, vol. 26, no. 4, p. 3882, Feb. 2018. References:
Fundamentally, the stiction occurs due to strong short-range forces keeping the membranes in close contact, and is non-reversible if the doped layers come electrically in contact. To solve this problem, we have recently developed a method to protect the device from such shorting 2 . Here, by coating the system with an anti-stiction layer of Al 2 O 3 grown using atomic layer deposition techniques (see Fig. 2a and 2b), we were able to reversibly
To illustrate the usefulness of such a spectrometer for different applications, several proof-of- concept demonstrations were made, these included gas sensing of hydrogen fluoride vapour, a fibre Bragg grating interrogation and sensing of displacement 1 . One of the key limiting factors in many MEMS devices is the pull-in effect, occurring when the plates that function as capacitor (the two GaAs membranes in this case) come closer than 2/3 rds of the
Figure 2. a,b) Sketch of the system before (a) and after (b) the ALD deposition. c) Coated device after pull-in (collapsed). d) Coated device after the reversal of pull-in.
original distance. The pull-in effect can cause permanent damage to the device (i.e. stiction of the membrane) but also limits the usable tuning range. In the case of our system, before the pull-in voltage is reached, the membranes move about 80nm, which allows the sensor to cover a wavelength range of ~30nm. Currently, this is only a few percent of the most commonly used near-infrared spectrum range. Therefore further research is needed to extend this range. We expect it will take at least five years before the new spectrometer gets into mobile phones. The stiction-induced failure is an issue if the sensor is to be used in real-life applications.
operate the device from pull-in back to its release status (Fig. 2c and 2d). Additionally, this enables the electrical switching of the wavelength of a mode over a wider ~50 nm range with a potential modulation frequency above 2 MHz, paving the way to reliable nano- mechanical sensors and optical switches 2 . In the fabrication process, a 15- nm alumina (Al 2 O 3 ) layer has been deposited in a home- built ALD reactor employing trimethylaluminum (TMA) as a precursor and O 2 the same process can also be performed in an Oxford Instruments plasma as reactant,
Summer 2018 9
SEMICONWest 2018: BEYOND SMART Author: Dr Mark Dineen
Working for a global business isn’t very difficult these days. However working for a business that operates in key production markets puts me in an incredibly privileged position. I’m able to represent Oxford Instruments Plasma Technology at some of the best conferences and tradeshows in the world. July was a great example of this, as I was lucky enough to spend a week amongst some of the most influential people of the semiconductor world at SEMICONWest 2018. And what a week it was! ‘Beyond Smart’ was the event tagline and the theme was how technology is driving connectivity, communication and AI to enable functionality that was never dreamt of years before. Smart factories, Smart automobiles and Smart Energy will have a huge impact on howwe live and interact with people and the world. What struck me most of all is how the technology will deliver so many unexpected benefits and it got me thinking about driverless cars. I believe driverless technology will happen, not IF but WHEN. Opponents may argue that there will be strong reluctance to hand over control to a machine but I think it is inevitable. The level of sensing and feedback within cars will grow massively in the coming years. Drivers will come to
rely on this more and more until it becomes almost ridiculous not to use the AI. Add a few more incentives, insurers for example offering reduced premiums for AI cars or highways having fast track lanes. Finally, changes in legislation for example in the future a city like Tokyo may require driverless cars within its boundaries to ease traffic congestion. You then reach a tipping point where driverless tech just makes sense. So that’s agreed driverless cars are happening, but howwill they impact our lives? Imagine when your car doesn’t have to be geared around a driver, what would the interior look like? As long as it’s safe what can you do with the time you have available instead of driving? Tables, beds, in car entertainment all begin to look exciting. The commute is not a burden; it is an extension of your time spent in a living space which is tailored to your requirements. OK, take it a step further, I come home from work on Friday pick up the family and off we go to the coast for the weekend. Now the break starts when we step into our driverless car, we can sleep, eat or be entertained right there, right from the start. Then 2 hours later we arrive by the sea, not stressed not tired from the journey but relaxed and looking forward to a full weekend ahead. We can
10 Summer 2018
make the most of every hour as we don’t worry about the drive home, that’s taken care of. So driverless cars will reduce stress and widen horizons, a fantastic thought. Oxford Instruments Plasma Technology is at the forefront of innovations to deliver driverless cars for example we have plasma process solutions specifically for VCSELs used in LiDAR sensing. I’d love to hear your thoughts on driverless cars and how other technology will enrich our lives in unexpected ways. Please get in touch at Plasma-experts@oxinst.com. I look forward to next year’s Semicon West to see how new technology is inspiring people to create newways of living and how Oxford Instruments can help achieve those dreams.
For more blogs go to our website: plasma.oxinst.com/blog
Summer 2018 11
Ion Beam Nano Patterning: Accurate and Versatile
Author: Dr Sebastien C. R. Pochon, Oxford Instruments Plasma Technology
The need for forming gratings (for example used in VR headsets) or laser diode facets (for optoelectronic devices) in materials such as SiO 2 or InP, respectively, has seen a recent surge in the use of Ion beam etching techniques. Usually ion beam etching is used in semiconductor manufacturing for patterning metal layers such Au, Pt and Ti using a photoresist mask. However selectivity is limited as it is a physical process.
The applications described above requires more control of sidewalls angle and surface roughness, it requires selectivity to the mask be enhanced. Typically gases such as CHF 3 , SF 6 , O 2 and Cl 2 can be added to argon in order to enhance selectivity, Depending on where the gas is injected, it is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder (platen) can be tilted between -90°, the loading position, and up to +65°. It provides control over the sidewall profile as well as radial uniformity optimisation. The substrate holder can rotate in order to provide an axisymmetric etch rate profile. A dedicated chiller/heater and helium (conductive medium) are used to transfer heat for cooling/heating during etching. Ion beam directionality in conjunction with variable incident beam angle through platen angle setting enables profile and sidewall control and feature shaping during nanopatterning. Unlike other plasma tools, materials such as SiO 2 and InP can be etched without rotation and at a fixed platen angle allowing gratings or waveguide facets to be etched.
Dr Sebastien Pochon presented his results at SPIE Conference in February 2018. For the extended version of the presentation see: plasma.oxinst.com/Ionbeam-nano
12 Summer 2018
High Volume Semiconductor Device Manufacturers choose Oxford Instruments Plasma Technology for “Lab to Fab” Solutions News
Oxford Instruments Plasma Technology celebrates a great year delivering a record number of integrated solutions, enabling customers to rapidly commercialise world- leading semiconductor devices, developed using Oxford Instruments “Lab to Fab” solutions. Many of Oxford Instruments’ customers are now converting their cutting-edge research into commercially available devices. The key to success here is to transfer the excellent results achieved in development and pilot facilities, and repeat them every day, every month in the fabrication facility. Oxford Instruments Plasma Technology is ideally placed to offer this “Lab to Fab” solution with a world renowned install base of R&D tools deeply involved in the exploration into tomorrow’s technology.
Paul Davies, Sales and Marketing Director, Oxford Instruments Plasma Technology comments: “We are the leading supplier offering a Lab to Fab solution to customers. We’ve shipped over 600 of our high technology process modules to leading production facilities and with an increasing demand from the optoelectronics, power and other leading markets, our plasma process solutions are being utilised globally to achieve excellent device performance and throughput”. Oxford Instruments is the solution provider of choice for customers needing to take those results and commercialise them. The core Plasma Technology platform is optimised for production and enhanced with industry standard handling solutions. Together this creates the reliable, repeatable platform for your success in production.
Summer 2018 13
Materials fabrication processes for biomedical applications ROCESS
Author: Dr Ravi Sundaram, Oxford Instruments Plasma Technology
Biomedical devices such as sensors and drug delivery patches pose an interesting challenge in material fabrication due to stringent requirements of substrates & thermal budgets etc. Below are five examples from literature of plasma and thermal processes on Oxford Instruments tools used for applications in healthcare.
RIE process is used to sharpen a 230 μm silicon pillar to a width of 200 nm at its tip. They encapsulate individual needles in a polyimide support structure to demonstrate a flexible neural implant device (Figure c). Protective dielectric layer to preserve femtomolar sensitivities of SOI nanowire biochips4 Plasma enhanced ALD processes were used by researchers at the Russian academy of sciences to demonstrate that coating the Silicon nanowire sensors
ZnO nanowire sensors fabricated using ALD and Ion Beam Etching processes 1 Researchers at the University of Southampton have developed a pH sensor with sensitivities down to 46.5 mv/pH. The device is fabricated using an Atomic Layer Deposition (ALD) process for the ZnO layer which is then anisotropically etched into 40 nm nanowires. The process is scalable up to 6” wafers and uses standard photolithography techniques.
b
d
c
a
ALD deposited ZnO based drug release layers 2 The laboratory of biomedical microtechnology (IMTEK) at the University of Freiburg have deposited ZnO directly into a film of Polyethylene glycol which forms the matrix for the release material. They deposit a conductive polymer on top of this layer which changes its permeability based on electrical stimuli. They observe the controlled release using fluoroscein as shown in figure b. Here the key requirement was the <150⁰C thermal budget for the ZnO deposition. Using an OpAL system the researchers were able to deposit ZnO at temperatures as low as 50⁰C. Si microneedles for drug delivery patch applications Oxford Instruments Deep Reactive Ion Etching (DRIE) processes have been extensively used for the fabrication of high aspect ratio needles for applications in transdermal delivery of drugs or sub micrometer needles for intracellular interfacing as demonstrated by scientists at University of Washington at Seattle 3 . In this process an
with amorphous alumina has no detrimental effect on the sensitivity of these devices and provides the added benefit of improved stability in liquids. Biomolecular detection using electrically excited plasmonic nanoparticles In a collaboration between the department of nanobiophotonics & department of quantum detection at Leibniz Institute of Photonic Technolgy at Jena, Germany scientists have demonstrated electrically excited plasmon modes using a metal-dielectric-semiconductor heterostructure. ALD was used to deposit the Al2O 3 dielectric layer that acts at the tunnel junctions which upon application of a voltage across it generates surface plasmons based on the fluctuations of the tunnelling current. Using this technique they demonstrate bio- detection using bovine serum albumin (BSA) which forms recognition layer on the metal nanoparticles. Then an analyste consisting of antibodies that specifically bind to BSA (anti-BSA IgG) were detected using the spectral shift caused by the binding of these biomolecules.
14 Summer 2018
Profile control for InP-related III-V quaternary semiconductors in inductively coupled plasma dry etch processes
Authors: Dr Ligang Deng, Dr Katie Hore, Mark McNie, Oxford Instruments Plasma Technology
Figure 1. Vertical InP profile etched using a CH 4 -H 2 -Cl 2 process. Gas ratio of CH 4 /Cl 2 plays an important role in obtaining the vertical profile.
InP and related III-V quaternary materials are widely used for fabrication of photonic and electronic devices 1,2 . Requirements for the dry etched profiles involved in the fabrication of these devices differ widely, including smooth vertical profiles for laser waveguide applications, isotropic profiles for transistor devices, sloped mesa profiles for photonic detector and micro-lenses for advanced photonic )-based chemistries are widely used for dry etching of InP-based materials. Methane-Hydryogen-based processes have a slow etch rate but the etch species are reactive with InP, InGaAs and InGaAsP and form volatile by-products, such as PH 3 and AsH 3 , even at room temperature. Chlorine-based processes have a high etch rate with highly reactive etch species forming by-products of PCl x , AsCl x , and InCl x . PCl x and AsCl x are volatile at room temperature but InClx is only volatile at wafer temperatures >200°C 3,4 . Various etched profiles can be achieved by proper control of the volatility of such by- products and process parameters including pressure, ICP power, RF power and process wafer temperature. All affect etched profile through their effect on the volatility as well as other factors such as ion energy. Normally, higher ICP power, low RF power, higher pressure and higher process wafer temperature lead to a dominantly chemical etching process, while dominantly physical etching processes result under the opposite conditions. Desirable etched profiles in InP-based materials can only be achieved by carefully balancing all these factors in the process design 5 . In this paper, presented at CS Week 2017 in Berlin we discussed how these etching process parameters can be optimized to achieve a few typical etched profiles, including vertical (Fig.1), isotropic (Fig.2), sloped (Fig.3), and lens-shaped (Fig.4) profiles. Vertical and isotropic profiles can also be combined to provide custom profiles. applications devices. Methane-Hydrogen (CH 4 -H 2 )-based and Chlorine (Cl 2
(a) 2µm at narrowest part
Figure 2. isotropic InP profiles etched using a Cl 2 -Ar process. The degree of isotropy can be controlled by adjusting the process parameters.
(b) 1.2µm at narrowest part
Figure 3. Sloped profile etched using a Cl 2 -H 2 process. The side wall angle is ~65 . High passivation is required to achieve the sloped profile. Figure 4. InP lens etched using a BCl 3 - Cl 2 process. Control of selectivity between InP and photoresist is critical in determining the final lens shape.
Summer 2018 15
hite papers
White papers
Have you seen our white papers released this year? More information can be found on our website: plasma.oxinst.com/whitepapers
ALD towards stable and efficient perovskite solar cells Author: Dr Harm Knoops Hybrid organic-inorganic perovskite solar cells are heavily researched due to their potential to offer both high conversion efficiency and low cost. However, so far, environmental device stability is a major issue. Many avenues to improve the stability of these cells are being investigated with the added constraint of retaining or reaching a high efficiency. One avenue that is seen as very promising is the use of inorganic thin films in the design of the device. ALD with its excellent control of film growth and high-quality films is seen as a key technology to this end. Mostly for research but also for pilot-production, there is a desire for flexible tools with wide processing range and both plasma and thermal capabilities. Oxford Instruments FlexAL and OpAL tools are ideally suited in this respect. The goal of this white paper is to give a practical overview on what ALD is and how it can be used to benefit perovskite solar cells.
InP laser diode device production: DFB grating etch for maximumperformance The properties of InP which combine a wide band gap with high electron mobility, make it a desirable semiconductor for the manufacture of optoelectronic devices. A key application is communication and this is expanding rapidly with increased data traffic. InP enables the manufacture of components that can operate at high frequencies allowing higher volumes of data. In particular it offers compelling advantages for laser diode manufacture delivering excellent functionality at a competitive price. When design and fabrication is optimised InP lasers provide high spectral purity and optical power, over a wide temperature range. Furthermore the achievable wavelength range of 1100 – 2000 nm is optimal for fibre optic communications. Establishing costeffective processing strategies for the production of InP lasers therefore directly supports the advancement of communications to support the ever increasing demand for data transfer.
High yield manufacturing of mesas in GaAs based VCSELs Author: Stephanie Baclet The size of the VCSEL market is increasing and there is a pressing need to demonstrate high volume, high quality manufacturing. One of the most critical steps in manufacturing VCSEL is the formation of apertures. Aluminium rich layers are oxidised in a furnace at a high temperature under an oxygen rich atmosphere. The dry etching process of the p mesa then aims to expose the Aluminium rich layer before the oxidation. The location of the aperture within the layer stack will depend on the design selected by VCSEL manufacturers and targeted electro-optical performance. In order to allow VCSEL suppliers to manufacture a specific design, the dry etching process must therefore be capable of stopping at any layer within the epitaxial stack. Layer by layer etching must be demonstrated across full wafers with precise control at the final layer.
16 Summer 2018
Recent Webinars
Optimising ALD high- k oxides for novel applications
Semiconductor failure analysis solutions
Light enables Light
Dr Katie Hote & Stephanie Baclet dicsuss the key elements to reliably manufacture GaAs/ AlGaAs/VCSELs
This webinar expands on how Oxford Instruments solutions can enable you to overcome failures and other challenges.
Dr U. Schroeder and Dr H. Knoops will disuss the ALD of ferroelectric HfO2 for novel memory applications.
Catch up with all our webinars at plasma.oxinst.com/webinars
Get your article published in the next PROCESS NEWS! We are always looking for new content so please send us your articles about work carried out using our tools.
Send your abstract to: plasma-info@oxinst.com
Summer 2018 17
Customer Support Portfolio
Oxford Instruments is committed to providing comprehensive, flexible and reliable global customer support. We offer excellent quality service throughout the life of the tool: • Remote diagnostics software provides quick and easy fault diagnosis and resolution. • Support contracts are available to suit the budget and situation. • Global spares in strategic locations for quick response. • Global service engineers provide preventative maintenance service andsupport. • Awide range of upgrades for process improvements, greater productivity and reduced cost of ownership Customer Portal We want to keep you updated and to ensure you have all the necessary information you need on your specific system. Our customers can now have instant access to information that can be accessed from your Customer Portal.
So what’s available? • System information details such as serial number and warranty period once accepted, for single or cluster systems • Contact information who to call or email when you need help • Commercial information E.g. order receipt, sales order acknowledgment, agreed terms and conditions or signed contract
Essential documentation such as your Facilities Interface and Specification manual • System Status Track your system’s progress through Materials / Manufacture / Despatch The portal is accessible on your mobile and tablet as well! Please contact plasmacs@oxinst.com for more information.
• Technical information
Visit plasma.oxinst.com/support for all our service contract options.
18 Summer 2018
Oxford Instruments Process Solutions Enable Next Generation Datacomms with Ultrafast Photonics Devices Facilitated at AMO GmbH News
Researchers at AMO GmbH have used Oxford Instruments Plasma Technology’s fabrication solutions to develop a graphene based photonics device capable of operating at a data rate of 25 Gb/s per channel. These devices convert optical modulation data into an electrical signal that can be interpreted by today’s IT systems. They have the potential to become key enablers for next generation mobile communications unlocking data streams at ultrafast speeds with wide bandwidths.
We live in an age where data plays a pivotal role in every decision making process. Advancements in Big Data and Internet of Things (IOT) technologies that are seamlessly connecting humans to information are driving development of long range data centres with kilometres of data links. Keeping up with these ever increasing demands will require development of faster and more efficient data links. Devices developed by scientists at AMO GmbH, a non-profit SME based in Aachen, within the European Graphene Flagship project have certainly set the yard stick in terms of high speed photodetectors operating at telecom wavelengths. At the mobile
world congress in Barcelona this year, AMO scientists along with collaborators with the Graphene Flagship project demonstrated the world’s first graphene data communication link operating at 25 Gbits/s per channel. As such the detector developed at AMO can reach speeds of up to 130 GHz “We are extremely delighted to see the progress made by researchers at AMO on our device fabrication solutions”, says Frazer Anderson, Innovation and Solutions Director, Oxford Instruments Plasma Technology. He continued:” We continue to invest in further developing and improving our cutting edge device fabrication processes for datacomms and photonics through feedback from such impressive success stories” Prof. Max Lemme, Managing Director, AMO GmbH comments: “The latest results on high-speed graphene-based data communication are very encouraging. They are a positive testament to AMO’s mission: to identify new materials and to demonstrate new technologies for future applications in electronics and optoelectronics, such as IOT and 5G. We can only achieve such results through our state-of-the-art R&D process line, which includes a large number of tools for scalable nanotechnologies, such as Oxford Instruments’ RIE, ALD and CVD tools” The devices were developed at AMO’s 6” device fabrication pilot line equipped with Oxford Instruments fabrication solutions which includes ICP RIE, ALD and PECVD tools. Oxford Instruments offers reliable and high performance solutions for the data communications market sector for both scaled-up production as well as novel device R&D. The detector fabrication solution includes: waveguide fabrication, III-V/2D materials mesa etching and graphene/2D/novel materials deposition. In addition Oxford Instruments also offers a range of solutions for devices such as the InP edge emitting lasers and VCSELs that are also key components of photonic data communications.
Summer 2018 19 Summer 2018 19
PLASMA.OXINST.COM
Page 1 Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16 Page 17 Page 18 Page 19 Page 20Made with FlippingBook Annual report